已浏览过的商品
暂时没有历史浏览记录
当前位置:首页>最新公告>产品应用 > 任意波形发生器(AWG)

任意波形发生器(AWG)

时间:2018-06-07
 

   不管您在磁盘驱动器参数测试中需要由精确的Lorentzian脉冲定形的数据流,还是需要复杂调制RF信号测试基于GSM或基于CDMA的手机,任意波形发生器(AWG)都可以生成您想得到的任何波形。您可以使用各种波形。从数学公式到“画出”波形,创建所需的输出。
   从本质上看,任意波形发生器(AWG)是一种完善的播放系统,它根据存储的数字数据提供波形,这些数字数据描述了AC信号不断变化的电压电平。它是一种方框图看起来很简单的工具。为解释AWG概念,我们举一个大家熟悉的例子,比如实时读出存储数据的唱片机(在AWG中是自己的波形存储器;在唱片机是唱片本身)。它们都输出一个模拟信号或波形。
  为理解AWG,首先必需掌握数字取样的广义概念。,顾名思义,数字取样是使用样点或数据点定义一个信号,这些样点火数据点沿着波形的斜率表示一个串电压测量。通过使用示波器等仪器实际测量波形,或使用图形或数学技术,可以确定这些特点。图17(左)说明了一串样点。尽管曲线使得间隔似乎发生变化,但所有这些点都以统一的时间间隔似乎发生变化。在AWG重,取样的值以二进制形式存储在快速随机存取存储器(RAM)中。

 


   通过使用存储的信息,可以读回存储器位置,通过数模转换器(DAC)s输入数据点,在任何时间重建信号(下图)。图17(右)说明了结构。注意AWG的输出电路在样点之间滤波,以连接各个点,创建干净的不间断的波形形状。DUT不会吧这些点“看作”离散的点,而是看作连续的模拟波形。
   图18是实现这些操作的AWG简化的方框图。


   AWG提供了几乎任何其他仪器都不能匹配的通用性。由于其能够生成可以想到的任何波形,因此AWG支持从汽车防抱死制动系统模拟到无线网络极限测试的各种应用。

新手上路
用户必读
新用户演示
发票制度
找回密码
支付配送
支付方式
配送方式
订单何时出库
关于验货和送货
售后服务
退换货政策
退款说明
售后服务说明
免责条款
特色指南
测试线定制
在线体验
无限时退换货
不限量购买
购物条款
注册服务条款
隐私条款
交易条款
网站使用条款